(报告出品方/作者:东方证券,浦俊懿)

一、EDA基本介绍

1.1 EDA 是集成电路设计的基础工具

EDA(Electronic Design Automation,电子设计自动化)是指利用计算机软件完成大规模集成 电路的设计、仿真、验证等流程的设计方式,融合了图形学、计算数学、微电子学,拓扑逻辑学、 材料学及人工智能等技术。随着集成电路产业的发展,设计规模越来越大,制造工艺越来越复杂, 设计师依靠手工难以完成相关工作,必须依靠 EDA 工具完成电路设计、版图设计、版图验证、 能分析等工作。EDA 软件作为集成电路领域的上游基础工具,贯穿于集成电路设计、制造、封测 等环节,是集成电路产业的战略基础支柱之一。

EDA 作为集成电路设计的基础工具,大致经历了四个发展阶段,目前已在计算机、通信、航天航 等领域发挥着重要作用。在 20 世纪 70 年代,由于当时电路集成度不高,设计人员可以依靠 工电路图的输入、布局和布线。但随着集成电路产业的快速发展,面对现今已达万亿门级的集 成度,再凭手工完成电路设计已具有极高的难度。在此期间,EDA 从一开始的通用 CAD 辅助电子 设计,逐步走上了专业化、商业化的道路,EDA 技术上也不断实现突破,软件工具功能愈发强大。

EDA 主要对现代集成电路设计和制造环节形成支撑。一个完整的集成电路设计和制造流程主要包 工艺平台开发、集成电路设计和集成电路制造三个阶段,而这三个阶段均需要对应的 EDA 工具 作为支撑,包括用于支撑工艺平台开发和集成电路制造两个阶段的制造类 EDA 工具以及支撑集成 电路设计阶段的设计类 EDA 工具。同时,EDA 是连接设计和制造两个环节的纽带和桥梁,如集成 电路设计企业需通过加载晶圆厂提供的特定工艺平台的 PDK(或 IP 和标准单元库),获取电路设 的必要信息和数据,进而开展设计工作,而 PDK 生成及验证环节是需要 EDA 支撑的。

根据 EDA 工具使用阶段可以分为集成电路制造类 EDA 工具和集成电路设计类 EDA 工具两个主 要大类。制造类 EDA 工具主要用于集成电路制造的工艺平台开发阶段及晶圆生产阶段,以此可进 一步划分为两类 EDA;设计类 EDA 工具主要用于集成电路的设计阶段,按电路类型进一步划分 为数字集成电路 EDA 和模拟集成电路 EDA 两大类。

1> 集成电路制造类 EDA 工具:主要指晶圆厂(包括晶圆代工厂、IDM 的制造部门等)在 台开发阶段和晶圆生产阶段使用的,用于支撑其完成半导体器件/制造工艺开发、器件建模和 PDK、集成电路制造等环节的 EDA 工具。该等工具能够帮助晶圆厂完成半导体器件和制造工 艺的设计,建立半导体器件的模型并通过 PDK 或建立 IP 和标准单元库等方式提供给集成 路设计企业,并在后续根据物理实现后的设计文件完成制造时,优化制造流程,提高量产良率。

2> 集成电路设计类 EDA 工具:根据集成电路处理的信号不同,可分为数字集成电路设计类 EDA 工具(数字 EDA 工具)和模拟集成电路设计类 EDA 工具(模拟 EDA 工具)。电学中,将 连续变化的电压、电流等物理量称为模拟信号,而离散变化的电压、电流则称为数字信号。由 于处理上述两类不同信号的集成电路在形态、功能、设计流程及设计方法学等方面上差异较大, 因此可按照模拟电路和数字电路各自在设计时所使用的 EDA 工具产品进行分类。

IC 设计可大致分为全定制与半定制设计,EDA 能对两类设计流程实现全面覆盖。

1> 全定制设计是指基于晶体管级,所有器件和互连版图都用手工生成的设计方法,这种方法比较 适合大批量生产、要求集成度高、速度快、面积小、功耗低的通用 IC 或 ASIC,因此全定制设 计一般用来设计模拟电路及数模混合电路。

2> 半定制设计是基于门阵列(gate-array)和标准单元(standard-cell)的,由于其成本低、周期 短、芯片利用率低而适合于小批量、速度快的芯片,因此半定制设计方法一般用来设计数字 路。半定制设计可以进一步分为前端设计与后端设计:(1)前端设计又称逻辑设计,指从输入需求到输出网表的过程,主要包括流程包括规格制定、详细设计、HDL 编码、仿真验证、 辑综合、静态时序分析、形式验证等环节;(2)后端设计又称物理设计,指从输入网表到 出物理版图(GDSII 形式)的过程,包括主要流程包括可测性设计、布局规划、时钟树综合、 布线、寄生参数提取、版图物理验证等环节。

1.2 EDA 是集成电路产业的基石

目前,集成电路产业链主要包括上游支撑层、中游制造层及下游应用层等:

1> 产业链上游为支撑层,主要包括技术服务商、软件供应商、材料及设备供应商等。其中,技术 服务商针对集成电路设计、生产、测试、封装及技术研发等环节提供各类模块化/专业化技术 服务;软件供应商主要从事设计工具开发、销售和服务;材料及设备供应商提供集成电路设计 和制造全过程所需的硅片、光刻胶、掩模版等原材料,以及硅片制造、晶圆制造、封测等专用 设备。

2> 产业链中游为制造层,主要包括集成电路设计、生产、封装和测试企业。其中,集成电路设计 企业通过对集成电路系统、逻辑、电路和性能的研究设计,最终转化为物理设计版图;集成电 路生产企业负责晶圆生产,利用设计版图制作光掩模版,并以多次光刻的方法将电路图形呈现 于晶圆上,最终在晶圆表面/内部形成立体电路;集成电路封装企业主要将加工完成的晶圆, 进行切割、封塑和包装,以保护管芯并最终形成芯片产品;集成电路测试企业主要对芯片的可 靠性、稳定性等进行检测。

3> 产业链下游包括各应用领域的系统厂商或制造商。该等企业最终将各类芯片成品集成于自身 产品(如工业产品、消费电子产品、计算机相关产品、通信及周边产品)中并投入市场。EDA 属于集成电路产业链上游支撑层中的软件工具类,是整个集成电路产业的核心环节之一。目 前,EDA 工具软件已广泛运用于产业链中游的设计、生产、封装、测试等环节。

EDA 对行业生产效率、产品技术水平有重要影响。从集成电路设计的角度看,设计人员必须使用 EDA 工具设计几十万到数十亿晶体管的复杂集成电路,以减少设计偏差、提高流片成功率及节省 流片费用。EDA 行业的市场状况与集成电路设计业的发展状况紧密相关,每年 EDA 市场表现情况 与设计企业营收状况具有高度一致性。从集成电路制造的角度看,芯片制造工艺不断演进,而新材 料、新工艺相关的下一代制造封测 EDA 技术将给集成电路性能提升、尺寸缩减带来新的发展机遇。

芯片/集成电路产业呈现倒金字塔状,EDA 处于基石地位,支撑着规模庞大的数字经济。从市场价 值来看,根据赛迪智库数据,2020 年 EDA 行业的全球市场规模超过 70 亿美元,却支撑着数十万 亿规模的数字经济。在中国这个全球规模最大、增速最快的集成电路市场,EDA 杠杆效应更大。 可以想象,一旦 EDA 这一产业基础出现问题,包括集成电路设计企业在内的全球集成电路产业必 将受到重大影响,由 EDA 工具、集成电路、电子系统、数字经济等构成的倒金字塔产业链结构稳 定将面临巨大挑战。

EDA 技术让更大规模的集成电路成为可能,并能极大地降低软件设计成本。随着现在的芯片越来 越复杂,目前最常用的 SOC 的晶体管个数更是动辄就是几亿,甚至上十亿,其设计的复杂度决定 了必须要由 EDA 完成。此外,根据加州大学圣迭戈分校 Andrew Kahng 教授在 2013 年的推测, 2011 年设计一款消费级应用处理器芯片的成本约 4000 万美元,如果不考虑 1993 年至 2009 年的 EDA 技术进步,相关设计成本可能高达 77 亿美元,EDA 技术进步让设计效率提升近 200 倍。EDA 工具的发展从整体上提升了芯片设计的效率,从而平抑了芯片设计的总体成本。

IP 是现代集成电路设计与开发工作中不可或缺的要素。IP 核(Intellectual Property Core)是指在 半导体集成电路设计中那些可以重复使用的、具有自主知识产权功能的设计模块。随着超大规模集 成电路设计、制造技术的发展,集成电路设计步入 SoC 时代,设计变得日益复杂,利用预先设计、 验证好的功能模块就可大幅提升设计效率。以 IP 复用、软硬件协同设计和超深亚微米/纳米级设计 为技术支撑的 SoC 已成为当今超大规模集成电路的主流方向,当前国际上绝大部分 SoC 都是基于 多种不同 IP 组合进行设计的。

EDA 公司同样具备为下游客户提供丰富 IP 方案的能力。EDA 公司下游客户包括众多的设计公司, 为了提高设计效率,他们无需对芯片每个细节进行设计,通过购买 IP 方案就可以实现某个特定功 能,而如何选择 IP 方案就成为了关键。与 EDA 的生态类似,客户往往会成熟可靠的 IP 方案以及 IP 供应商,客户粘性较大。若 EDA 公司将 IP 授权与 EDA 销售捆绑在一起,就不仅能为客户提供 更加完整高效的芯片设计方案,还能提升客户粘性与品牌竞争力,进一步推动 EDA 与 IP 生态的完 善。目前,IP 授权已经成为 Synopsys 与 Cadence 两大 EDA 巨头的重要收入来源。

1.3 EDA 未来两大发展趋势:EDA+云、EDA+AI

后摩尔时代的集成电路技术演进方向主要包括:

1> 面向延续摩尔定律(More Moore)方向:单芯片的集成规模呈现爆发性增长,为 EDA 工具的 设计效率提出了更高的要求。

2> 面向扩展摩尔定律(More than Moore)方向:伴随逻辑、模拟、存储等功能被叠加到同一芯 片,EDA 工具需具备对复杂功能设计的更强支撑能力。

3> 面向超越摩尔定律(Beyond Moore)方向:新工艺、新材料、新器件等的应用要求 EDA 工具 的发展在仿真、验证等关键环节实现方法学的创新。

后摩尔时代技术从单芯片的集成规模、功能集成、工艺、材料等方面的演进驱动着 EDA 技术的进 步和其应用的延伸拓展。

云化及平台化是行业的重要趋势。随着 IC 设计复杂度的不断提升,IC 设计公司都会面临计算资 源需求激增、EDA 峰值性能需求难以被满足,深工艺数据迁移的消耗成本,多项目并行发生的资 源抢夺以及办公地点限制带来的效率影响等,这些问题都会直接影响芯片的研发周期以及研发成 本。IC 设计上云能平滑多项目并行带来的资源抢夺问题,降低 EDA 的购买成本,进而提升研发整 体的效率。

此外,过去安全隐患一直是限制 IC 设计上云的关键阻碍,近年来,伴随相关技术的逐 渐成熟,用户使用习惯的改善,“云计算+EDA 工具”的模式开始逐渐得到认可。例如,微软就与 Mentor Graphics、台积电、AMD 多方合作,在微软云 Azure 上验证了 7nm 的芯片设计;Synopsys 与三星合作推出了 SAFE 云设计平台,共同为 Samsung Foundry 的客户提供可拓展的安全的云端 设计环境,在该环境中可实现 IC 设计和验证、全数字和模拟流程。

AI 将更好地实现 EDA 设计中算力、资源的分配,AI 与 EDA 融合是另一重要的行业发展趋势。近 年来,伴随芯片设计基础数据量的不断增加、系统运算能力的阶跃式上升,这让 AI 技术在 EDA 领 域的应用的需求逐步上升。借助 AI 算法,EDA 工具可以帮助客户实现最优化的功耗、性能、面 积目标,大幅提升芯片设计验证效率,助力芯片设计企业提升产品研发效率,以开发性能更高的终 端产品。

2017 年,美国国防部高级研究计划局(DARPA)推出的“电子复兴计划(ERI)”中的 电子设备智能设计(IDEA)项目,描绘出新的 AI 技术赋能 EDA 工具发展目标与方向。其中,提 出的目标是实现“设计工具在版图设计中无人干预的能力”,即通过人工智能和机器学习的方法将 设计经验固化,进而形成统一的版图生成器,以期实现通过版图生成器在 24 小时之内完成 SoC (系统级芯片)、SiP(系统级封装)和印刷电路板(PCB)的版图设计。

海外 EDA 巨头正积极布局 AI 技术。2020 年 3 月,Synopsys 推出业界首个用于 AI 自主芯片设计 解决方案——DSO.ai,可以帮助设计团队优化决策流程,让芯片设计团队接近专家级水平进行操 作。DSO.ai 也被瑞萨电子引入到其先进的汽车芯片设计环境,以实现更好的 PPA 解决方案。2021 年 7 月,Cadence 推出首款基于机器学习的设计工具——Cerebrus,这款工具可以扩展数字芯片 设计流程并使之自动化,相较人工方法可将工程生产力提高多达 10 倍,同时最多可将功耗、性能 和面积 (PPA)结果改善 20%,以快速满足包括消费电子、超大规模计算、5G 通信、汽车和移 动等广泛市场的设计要求。

二、EDA行业及竞争格局分析

2.1 行业规模:整体平稳增长,国内市场增速高于全球

近年来,集成电路产业市场保持平稳增长。根据世界半导体贸易统计组织(WSTS)统计,从 2014 年到 2020 年,全球半导体市场规模从 3358 亿美元提升至 4404 亿美元,年均复合增长率达 4.62%。 从 2014 年到 2020 年,全球集成电路市场规模从 2773 亿美元提升至 3612 亿美元,年均复合增 长率达 4.50%。

我国集成电路市场增速快于全球。根据中国半导体行业协会统计,从 2014 年到 2020 年,中国集 成电路市场规模从 3015 亿元提升至 8848 亿元,年均复合增长率达 19.65%,保持了稳定增长, 且增速明显高于全球。

集成电路产业链上下游分工逐步深化,集成电路设计的重要性不断凸显。为了适应技术的发展和市 场的需求,集成电路的产业模式经历了由垂直整合模式(Integrated Device Manufacture,IDM 模 式)到专业分工商业模式的发展历程。伴随集成电路制造工艺水平的提高,企业固定资产支出中对 生产线的资金要求呈现几何级增长,多数采用 IDM 模式经营的中小规模集成电路企业愈发无力承 担研发、生产费用带来的经营风险,高额的生产线建设费用也限制了许多试图进入集成电路领域的 创业者。为适应市场对定制化芯片的增量需求,集成电路产业专业分工模式逐渐普及。在市场应用 多元化趋势更加显著、芯片产品研发效率要求不断提升的驱动下,专业分工模式正在逐步成为集成 电路市场主流。在这一过程中,集成电路设计这一细分领域的重要性不断凸显。(报告来源:未来智库)

全球 EDA 行业稳定向好,亚太市场快速增长。在近年来全球集成电路产业基本保持稳定向好的发 展态势下,近年全球 EDA 工具总销售额保持稳定上涨,2020 年实现总销售额 72.3 亿美元,同比 增长 10.7%。根据赛迪智库统计,在 2020 年全球各地区 EDA 市场销售额方面,北美约占 40.9%, 亚太地区约占 42.1%,欧洲地区约占 17%。目前北美地区是 EDA 技术最为发达的地区,而中国大 陆地区集成电路设计业的快速发展带动了亚太地区 EDA 工具销售额的增长。

我国 EDA 市场增速高于全球水平,本土 EDA 企业市占率较低。根据赛迪智库,2020 年,我国 EDA 行业总销售额约为 66.2 亿元,同比增长 19.9%,实现连续增长,增速高于全球范围内 10.7% 的水平。其中,我国自主 EDA 工具企业在本土市场营业收入约为 7.6 亿元,同比增幅 65.2%,目 前还是以境内销售为主。通过 EDA 国内市场总销售额及国产 EDA 国内市场销售额可以计算得到, 2018-2020 年我国国产 EDA 工具在国内市场销售份额分别为 6.2%、8.3%、11.5%,尽管市占率 逐步提高,但仍处于较低水平,可见海外厂商依旧占据绝对优势。

2.2 竞争格局:海外三巨头优势明显

从全球范围内的竞争格局来看,楷登电子、新思科技和西门子 EDA 具有明显的优势,国产 EDA 厂商距第一梯队还有一定差距。EDA 行业市场集中度较高,全球 EDA 行业主要由楷登电子、新 思科技和西门子 EDA 垄断,上述三家公司属于具有显著领先优势的第一梯队,共占据了全球市场 78%的份额。华大九天与其他几家企业,凭借部分领域的全流程工具或在局部领域的领先优势,位 列全球 EDA 行业的第二梯队,共占据了全球市场 15%的份额。第三梯队的企业主要聚焦于某些特 定领域或用途的点工具,整体规模和产品完整度与前两大梯队的企业存在明显的差距。

对于国内 EDA 市场,目前仍由国际三巨头占据绝对主导地位。根据赛迪智库数据,2020 年国内 EDA 市场销售额约 80%由国际三巨头占据,国内 EDA 供应商目前所占市场份额较小。 华大九天为本土 EDA 龙头企业,仅占国内约 6%的份额。

华大九天凭借模拟电路设计全流程 EDA 工具系统、数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统和晶圆制造 EDA 工 具等领域的优势,通过十余年发展再创新,不断获得市场突破。2020 年华大九天占领我国 EDA 市 场约 5.9%的市场份额,居本土 EDA 企业首位,本土企业份额占比保持在 50%以上。

国内 EDA 企业难以提供全流程产品,但在部分细分领域具有优势,个别点工具功能强大。例如华 大九天是世界唯一提供全流程 FPD 设计解决方案的供应商,具有较强市场竞争力。概伦电子在 SPICE 建模工具及噪声测试系统方面技术处于领先地位,业内称“黄金标准”。广立微电子在良 率分析和工艺检测的测试机方面产品具有明显优势。

目前国内 EDA 企业和海外巨头的差距明显,三巨头建立起了坚实的竞争壁垒,究其原因主要有以 下几点:

1> 海外巨头通过持续的收并购完善产品矩阵,逐步形成全工具链、全流程的覆盖。 EDA 产业技术流程很长,需要种类繁多的软硬件工具配合从而形成工具链。目前,海外 EDA 三巨 头均已覆盖了芯片设计所有环节,并选择了相应主攻的细分领域,打造了自身的明星产品。而国内 的 EDA 公司只是在“点工具”上有所突破,做得相对较好的也只是突破了多个“点工具”,仅有 几家公司初步形成了较为完善的工具链,总体来说国内 EDA 技术积累较浅。

收并购是 EDA 海外龙头产品演进扩张的重要手段。历史上,海外三巨头共发起过 200 多次收并 购,其中 Synopsys 次数高达 80 次,为三家中最多。正是通过不断地兼并和收购,三家公司不断 扩张自身的业务和产品线边界,使规模快速扩大。以 Synopsys 为例,早在 1990 年公司就收购了 Zycad 公司的 VHDL 仿真业务,并推出了测试综合产品;2002 年,公司收购了刚结束与 Cadence 多年诉讼的 Avanti,一举补齐了数字集成电路 EDA 全流程所需要的团队和技术,成为历史上第一 家可以提供顶级前后端完整 IC 设计方案的领先 EDA 工具供应商,改变了传统上“Synopsys 占前 端,Cadence 占后端”的格局,也为后续公司市场份额超过 Cadence 打下坚实基础。Cadence 是 1988 年由 ECAD Systems 和 SDA Systems 两个公司合并而成,Siemens EDA 也是西门子于 2016 年收购 Mentor 成立的,可见收并购是 EDA 发展史上重要的部分。

2> 下游客户集中度高,与头部厂商深度绑定推进工艺领先。 EDA 企业主要客户包括产业链上游的 IC 设计企业(Fabless)及中游的晶圆制造企业(Foundry), 市场集中度高。全球范围内主要的 IC 设计企业包括高通、博通、英伟达等,2020 年全球前十大 IC 设计公司(Fabless)收入共计达到 859.74 亿美元。若按照全球 IC 设计规模 1279 亿美元来算, 2020 年全球前十大 IC 设计公司市占率达到 67.22%,集中度高。从收入来看,2020 年世界范围 内前十大晶圆制造企业的市场集中率高达 95.68%。

海外巨头与其头部客户深度绑定,共同打造生态,实现 EDA 技术与制程和工艺同步、持续地更新。 海外 EDA 巨头具有完整的 EDA 产品线与工具链,并利用丰富的 IP 库打造了完善的 IC 设计生态, 而 IP 授权对于 Fabless 客户的研发是不可或缺的,因此领先的工具与完备的生态也进一步提升了 客户的黏性。同时对于头部 Foundry,EDA 海外三巨头也实现了深度捆绑。由于制程和工艺在摩 尔定律的推动下不断更新,在这个过程中 Foundry 也需要支持先进制程的 EDA 软件,这也带动了 EDA 工具的不断迭代和更新,因此 EDA 头部厂商能实现“强者恒强”。目前国内厂商缺乏与头部Foundry 的深度合作,国产 EDA 产品难以匹配最先进的工艺,这也导致本土企业难以进入高端芯 片设计领域。

3> 国内企业与海外龙头在研发投入与人才储备方面存在差距。 EDA 工具的迭代升级需要长期、大量的资金投入,海外龙头均保持了极高的研发投入水平。EDA 是算法密集型的大型工业软件系统,其开发过程涉及计算机、数学、物理、电子电路、工艺等多种 学科和专业,因此 EDA 技术的不断的突破需要通过较长时间的技术研发和专利积累才能逐步实现, 这也是海外龙头即使占据了绝对垄断地位还依旧不断加大基础研究和前沿技术研究力度的原因。 从研发费用率来看,过去三年间海内外主要 EDA 企业研发费用率均高于 30%。但在绝对投入上, 国内外企业存在显著差距,如 2020 年 Synopsys 研发投入达到 12.8 亿美元,而华大九天仅 1.8 亿 人民币,这也导致国产 EDA 工具与海外龙头依旧存在较大的差距。

国内 EDA 人才较为匮乏,与海外存在较大差距。EDA 行业对于研发人员的知识背景、研发能力 及经验积累均有较高要求,培养一名 EDA 研发人才往往需要 10 年左右的时间,海外 EDA 龙头均 在世界范围内通过产研合作来锁定人才,Synopsys 与 Cadence 也积极地与国内院校建立深入的 合作关系。此外,行业内领先企业具备更高的知名度与更加完善的技术培训体系,对人才的吸引力较强;同时由于我国 EDA 企业还处于发展初期,国内行业整体薪酬偏低,本土人才流失较为严重。 根据赛迪智库,2020 年我国仅有 4400 余 EDA 人才,其中半数以上就职于外资企业。随着本土企 业实力不断增长,未来注重产研结合及人才的薪资激励是关键。

2.3 我国 EDA 产业未来将何去何从

我国 EDA 产业发展之路较为坎坷:

1> 上世纪八十年代中后期,国内开始投入 EDA 领域的研发。20 世纪 70 至 80 年代,由于巴黎 统筹委员会对中国实施的禁运管制,中国无法购买到国外的 EDA 工具。

2> 中国开始进行 EDA 技术的自主研发与攻关,并在 1988 年启动国产 EDA 工具“熊猫系统” 的研发工作。90 年代初,公司初始团队部分成员研发成功了中国历史上第一款具有自主知识 产权的 EDA 工具——“熊猫 ICCAD 系统”,填补了我国在这一领域的空白。

3> 随后,我国 EDA 企业进入低谷期。由于国外解除了对我国 EDA 工具的封锁,国外 EDA 工具 大量进入中国,缺少政策和市场支持的国内 EDA 工具研发和应用陷入低谷,这种情形也导致 了国内集成电路产业对国外 EDA 工具的重度依赖。

4> 2008 年后,国产 EDA 企业开始涌现。2008 年 4 月,国家科技重大专项“核心电子器件、高 端通用芯片及基础软件产品”实施方案经国务院常务会议审议并原则通过。作为《国家中长期 科学和技术发展规划纲要(2006-2020 年)》所确定的国家十六个科技重大专项之一,EDA 行 业重新获得了鼓励和扶持。2008 年以来,国内 EDA 领域涌现了华大九天、概伦电子、广立微 电子、国微集团和芯和半导体等公司,中国本土 EDA 企业开始进入市场的主流视野。

中美科技摩擦加剧,EDA 软件成为美国对华封锁的武器。2019 年以来,美国对我国国内高科技企 业的制裁力度不断加大,数次提高对国内部分高科技企业的限制级别,尤其在集成电路和 EDA 工 具领域体现的较为明显。例如,2019 年 EDA 三巨头终止了与华为海思的合作,为国产芯片的发展 蒙上了一层阴影。国内集成电路设计及制造企业开始寻求实现 EDA 工具软件的进口替代。对于国 内 EDA 厂商而言,这是一个化危为机的重要时刻。

当前国际形势下,逆全球化的潜在风险不断增加,使得工业生产的独立、安全、自主上升到国家安 全层面。在这种环境下,国产工业软件的发展具有前所未有的战略性意义。美国对中国高新技术产 业的限制逐步加深,给我国的集成电路产业带来了巨大挑战,但这种形势对于国内 EDA 厂商而言 也意味着机遇。

目前,我国芯片设计企业所使用的 EDA 工具主要来自于西方国家,为实现国产替代,我国 EDA 企业任重道远。随着国际贸易环境及政策形势的日趋复杂,EDA 工具的禁运已经成为西方国家对 我国高科技产业发展进行限制的重要手段,对我国集成电路产业健康持续发展造成了重大影响。 2021 年 2 月 19 日,中央全面深化改革委员会第十八次会议提出,加快攻克重要领域“卡脖子” 技术,有效突破产业瓶颈,牢牢把握创新发展主动权。为了创造稳定的产业发展环境、打造完善的 集成电路供应链体系,我国亟需提升国产 EDA 工具软件技术水平,加速 EDA 工具国产化替代进 程。

目前海外三巨头优势依旧明显,占据了市场绝大部分份额。我们认为以下几点有助于我国 EDA 企 业缩小与海外巨头的差距:

1> 政策扶持:从海外 EDA 行业发展经验来看,政策扶持是必不可少的。2017 年,美国国防高级 研究计划局(DARPA)推出了一项为期 5 年、总值 15 亿美元的电子复兴计划(ERI),用以 支持芯片技术的开发,美国国会也增加了对 ERI 的投入,每年额外注资 1.5 亿美元。由于当时 集成电路在摩尔定律的驱动下,面临物理和经济极限,ERI 推动着芯片产业进入了下一个创新 阶段。目前,国内部分优质的集成电路企业得益于各项扶持政策,进入快速成长通道,在其各 自细分领域实现国产替代,但仍需持续的产业政策来鼓励产品创新、落实知识产权保护制度并 加强软件正版化的相关工作。

2> 产业融资方面:过去,由于行业投资回报期较久,因此较难有效吸引社会资金进入,致使本土 EDA 企业融资渠道相对狭窄,因此难以匹及海外龙头的研发投入。目前,国家鼓励商业性金 融机构进一步改善金融服务,大力支持符合条件的集成电路企业和软件企业在境内外上市融 资。国家及各级政府专项集成电路产业基金及国内市场化产业投资机构也开始加大对国内 EDA 企业的投资力度,减轻 EDA 企业高额研发投入的压力,并利用自身在集成电路产业的 影响力促进产业上下游联动,提高 EDA 企业的市场竞争力。

3> 产研结合,注重人才培养:海外巨头通过产研结合,锁定了世界范围内 EDA 领域的优秀人才, 而我国 EDA 人才相对匮乏。目前,我国多家高等院校开始与国内 EDA 企业开展深度产学研 合作,设立 EDA 相关学院、学科或专业课程,并通过各类技能挑战赛、产教联盟等方式聚合 产学优质资源,探索 EDA 核心关键技术,培育行业新生力量。

4> 打破壁垒,与下游先进工艺进行结合:国外三巨头与下游头部客户打造了完善的 EDA 服务生 态,使 EDA 技术与工艺、制程相辅相成,共同发展。目前我国 EDA 厂商与国际 Foundry 的 合作机会有限,与先进工艺的结合较为薄弱且明显滞后,在高端市场,尤其是高制程的数字芯 片领域基本不具备竞争力。未来,随着国内厂商技术不断提高,需要积极寻找与下游先进工艺 结合的机会。

5> 由点到面实现突破:目前我国 EDA 企业在局部环节具有优势,如华大九天的模拟电路仿真、 概伦电子的 SPICE 建模领域等。以华大九天为例,根据招股说明书,公司将利用 25.5 亿募集 资金中投入超过 10 亿到数字电路设计的相关方向,这也是本土 EDA 企业最薄弱的环节。公 司也将投入 4 亿多元用于面向特定类型芯片设计的 EDA 工具开发项目,完善 EDA 工具类型。 从华大九天可以看出,未来国内本土企业将致力于实现 EDA 全流程、全领域的覆盖。

三、海外主要EDA企业盘点

3.1 Synopsys:综合实力最强的 EDA 龙头

Synopsys 是全球 EDA 及半导体 IP 的头部厂商。1986 年,GE 微电子中心的 Aart de Grus 博士 创立 Optimal Solutions,致力于开发具备自动创建逻辑综合功能的 Synthesis 软件。次年,公司更 名为 Synopsys。1990 年,公司收购 Zycad,以此完善 VHDL 仿真技术业务,也开启了长达 30 余 年的收并购史。2002 年,公司收购 Avanti,成为历史上第一家可以提供顶级前后端完整 IC 设计方 案的领先 EDA 工具供应商。2008 年,公司超越 Cadence 成为全球最大的 EDA 提供商。目前,公 司已能提供最全面的 EDA 产品和成熟的半导体 IP 方案,其中 EDA 市场份额位居全球第一,半导 体 IP 市场份额全球第二。

Synopsys 营业收入及营业利润保持稳定增长。2020 年,Synopsys 实现营业收入 36.85 亿美元, 同比增长 9.66%,2016-2020 年 CAGR 达 11.06%,近年来随着物联网、云计算、智能汽车等领 域的发展,芯片的应用范围扩大,公司的收入总体增长较为平稳。2020 年公司实现营业利润 6.70 亿美元,同比增长 20.74%,近两年增速均超过 20%,保持了良好的势头。

从收入拆分来看,公司“EDA+IP”的模式逐渐清晰。2020 年,公司 EDA 相关收入占比为 57%, 依旧为最大的收入来源;IP 授权的占比达到 33%,近年来占比逐步提升(2018 年 29%,2019 年 31%)。随着芯片复杂度的不断提升,IP 核已经成为现今 SoC 设计的重要组成部分,公司也持续 完善 IP 生态,与 EDA 技术形成优势互补,目前公司“EDA+IP”的收入占比已达 90%左右。从收 入的地区拆分来看,2020 年中国为公司第二大市场,仅次于美国。

公司近年来盈利能力稳中有升,持续维持高研发投入。2020 年,公司毛利率达 78.44%,连续 3 年 实现提升;公司营业利润率为 18.19%,连续 2 年实现提升,公司整体盈利能力持续向好。此外, 公司保持了极高的研发投入力度,2018-2020 年公司研发支出分别为 10.85、11.37、12.79 亿元, 研发费用率分别为 34.76%、33.83%、34.71%,可见要实现 EDA 技术持续迭代和领先,大量的研 发投入是必备条件之一。

公司 EDA 业务覆盖设计、验证、制造三大环节,产品线十分完善:

1> 设计领域:公司芯片设计业务主要包括融合设计平台(Fusion Design Platform)及定制设计 平台(Custom Design Platform)两大平台,以及 3DIC 设计、机器学习/AI 设计、物理实现、 RTL 设计与综合、物理验证、signoff、流程/测试自动化、FPGA 设计等产品。(1)Fusion Design Platform 平台由公司领先的大规模并行数字设计工具构建而成,增强了创新功能,使 设计人员能够以业界理想的 QoR(全流程成果质量)和 TTR(完成时间)加速其下一代设计 的交付,以应对云计算、汽车、移动和物联网等市场不断升级的挑战。(2)Custom Design Platform 是一套统一的设计和验证工具套件,可加速开发高可靠性的定制和 AMS 设计。该平 台基于 Custom Compiler 定制设计环境构建,具有业界领先的电路仿真性能,快速易用的版 图编辑器,以及用于寄生参数提取、可靠性分析和物理验证的一流技术。

2> 验证领域:公司拥有全周期统一验证平台,使用业内领先的 VCS 仿真、Verdi 调试、SpyGlass 静态、VC Formal 和经过硅验证的 IP 验证整个 SoC,能使用户更早更快地找到 SoC bug,更 早启动软件,并验证整个系统。验证业务相关产品具体包括仿真、静态和形式验证、AMS 验 证、验证 IP、SoC 验证自动化、硬件仿真、FPGA 验证等。

3> 制造领域:主要为晶圆厂提供硅工程工具及解决方案,以更早实现工艺开发、先进光刻技术以 及良率管理。硅工程是芯片生成和电子设备创新的基础,公司的硅工程工具经过低至 5nm 及 以下成熟和新兴工艺节点的生产验证,能在速度、面积、功耗、可测性和良率之间实现理想权 衡,主要包括 TCAD、光罩合成、光罩数据准备、良率管理和 QuantumATK 等工具。(报告来源:未来智库)

3.2 Cadence:全球领先的 EDA 和 IP 供应商,产品覆盖电子 设计全流程

公司是电子设计领域的关键领导者,通过收并购逐步实现从模拟 IC 到数字 IC、芯片设计到 PCB 和 IP 业务的延伸。1988 年,SDA 与 ECAD 合并,Cadence 成立。在发展初期,公司就通过收并 购迅速补全领先的产品技术。1989 年,Cadence 收购 Tangent Systems,并推出时序驱动 ASIC 布局和布线工具,成为 IC CAD 的头号供应商。1990 年,Cadence 收购 Gateway Design Automation, 将 Verilog 语言引入公开应用领域,促进了原理图设计到硬件描述语言的转变。1999 年,公司收购 OrCAD,收获 PCB 设计软件及服务的最大客户群,开始进入 PCB 领域。2010 年,公司关注到半 导体 IP 的市场机遇,于是收购 Denali,以获得提供 IP 解决方案的能力。目前,公司产品主要包括 定制 IC/模拟/RF 设计、数字设计与 Signoff、IC 封装设计与分析、IP、PCB 设计与分析、系统分 析、系统设计与验证等,实现了 IC 设计全流程的覆盖。

公司营收及利润呈加速增长态势。2020 年,Cadence 实现营业收入 26.83 亿美元,同比增长 14.83%, 2016-2020 年 CAGR 达 10.25%;实现营业利润 6.77 亿美元,同比增长 34.37%,2016-2020 年 CAGR 达 22.87%,明显高于同期收入复合增速。我们认为,近年来物联网、AI、云计算、智能汽 车技术的快速发展使下游芯片设计需求旺盛,这使全球 EDA 头部厂商直接受益;同时公司长期与 全球领先的集成电路设计和制造企业保持紧密合作(如台积电、FDXcelerator、Arm 等),这也有 助于公司迅速抓住行业机遇,实现收入快速增长。

公司 IC 设计类业务合计占比达一半以上,坚持全球化战略。2020 年,公司定制 IC 设计与仿真、 数字 IC 设计与 Signoff 两大业务合计占比达 54%左右,IC 设计类业务为公司最大的收入来源;公 司 IP 业务占比达 14%,近年来基本维持稳定。按地区拆分来看,公司第一大市场是美国,2020 年 收入占比达 40.86%;公司同样重视亚洲市场,2020 年中国地区收入占比达 15.16%,日本地区收 入占比达 6.68%,其他亚洲地区收入占比达 18.17%。

公司营业利润率提升明显,研发投入力度大。近年来,公司毛利率及营业利润率持续上行,营业利 润率增长更为明显,从 2016 年的 16.36%快速提升到 2020 年的 25.24%,主要系公司产品毛利率 稳定及相关费用率有所下降。公司研发支出持续增长,2016-2020 年费用率均超过 37%,维持了 较高的研发投入力度。

分业务来看,公司打造了完善的产品线,主要产品覆盖模拟 IC 设计、数字 IC 设计、IC 封装、PCB 设计等领域:

1> 定制集成电路/模拟/射频设计:公司为客户提供专门针对复杂集成电路和射频/微波解决方案进 行优化的自动化设计平台 Virtuoso。Virtuoso 平台整合了公司定制化集成电路设计技术和封装 /PCB 设计/分析技术,工程师可以通过平台实现跨芯片、封装和电路板并行设计,适合集成多 种结构电路类型(包括射频、模拟和数字系统)的设计,使得多芯片异构系统的设计和验证流 程更简单、流畅以及自动化。分产品来看,此业务主要包含定制电路设计、电路仿真、布局设 计、版图验证、库表征、射频/微波设计。

2> 数字设计与 Signoff(签核):随着设计的规模变得越来越大,复杂程度也不断增加,这也意 味着功耗、性能和面积 (PPA) 目标同样也变得更具挑战性,完成此类工程设计任务艰巨,越 来越短的工期使难度只增不减。公司提供的数字全流程平台的创新功能不局限于单个工具,而 是将各个工具的核心功能和关键技术融合在一起,客户可以提前实现 PPA 目标。此业务主要 包括 Innovus 系统、集成 3D-IC 平台、Cerebrus(基于机器学习的设计工具)等方案。

3> IC 封装设计与分析:公司具有世界一流的跨平台设计规划、优化以及单裸片和多裸片的先进 封装与模块布局平台,公司的封装实现工具可实现自动化和精准度,在综合环境中加快设计过 程,包括全面的电气和热分析以及 IC/封装协同设计。该业务主要包括 IC 封装设计、跨平台协 同设计与分析、多芯片(芯粒)设计、SI/PI 分析及分析点工具等产品。

4> PCB 设计与分析:公司的 PCB 设计工具可以简化从概念到投产的复杂设计流程,为整个电子 产品设计团队提供集成的、仿真分析驱动的完整设计解决方案。此外,公司产品及方案提供了 协同设计能力,能打破物理隔阂和设计领域的局限性,使工程师能通力合作完成单个设计项目 或设计复杂的多电路板 PCB 系统。

3.3 Siemens EDA:EDA 头部厂商,部分工具具有优势

Siemens EDA 前身是 Mentor Graphics,在 EDA 领域长期保持领先地位。Mentor Graphics 成 立于 1981 年,是 EDA 三巨头中成立最早的公司。公司一开始从事计算机辅助工程业务,1983 年 收购加州自动化设计公司,在 CAE 市场推出交互式模拟仿真软件 MSPICE,并开始逐步拓展海外 市场。公司成立以来一直关注各细分市场的佼佼者,逐步收购细分领域的顶尖中小型 EDA 企业, 助力自身成为全球 EDA 领导厂商。但随着 Cadence 以及 Synopsys 的快速崛起,Mentor Graphics 的市场地位被另外两大巨头超越,2016 年被 Siemens 以 45 亿美元收购,成为 Siemens 的 EDA 部门。2021 年,Mentor Graphics 正式更名为 Siemens EDA。经历了多年发展,由于 Mentor Graphics 在 signoff 和 DFT(Design For Test,可测性设计)积聚了不少的优势,目前 Siemens EDA 相关产品(如 Calibre 等)依然具备优势,市场份额仅次于 Synopsys 与 Cadence。

Mentor Graphics 被收购前营业收入及营业利润存在一定波动。2015 年,公司营收及营业利润出 现下滑,次年有所恢复,2012-2016 年营业收入 CAGR 为 4.18%,营业利润 CAGR 为 6.84%,复 合增速较为缓慢的原因是同时期 EDA 行业中各大厂商竞争较为激烈,公司产品及经营层面上遇到 一定压力。

Mentor Graphics 盈利能力稳定,研发费用率稳步提升。2012-2016 年间,Mentor Graphics 毛利 率从 82.58%提升到 85.43%,营业利润率也维持稳定在 15%-17%的水平,盈利能力较为稳定。公 司研发费用率稳步提升,2013-2016 年间均超过 30%,在 2015 年公司业绩有所下滑的情况下依旧 保持了和 2014 年的研发投入水平。公司研发支出主要包括研发人员薪酬及收并购费用构成,可见 公司主要以自研及外延的收并购来推动技术进步及产品迭代,这也是海外 EDA 巨头保持竞争力而 普遍采取的方式。

Siemens EDA 打造了完善的产品线,主要可以分为三大类:

1> IC 设计、验证和制造类:公司提供多样工具,覆盖了 IC 设计全流程,能帮助客户提高效率并 推动创新和数字化进程,协助客户达到最优 PPA 平衡。公司此类业务主要产品包括 Veloce 硬 件平台、Tessent 硅生命周期解决方案、Calibre 设计解决方案等。

2> IC 封装设计和验证类:拥有完整的 2.5/3D IC 整合、设计与验证方案,满足 FOWLP、2.5/3D IC、SiP、CoWoS 等各类先进 IC 封装设计需求,并能达成快速、准确、高容量的签核。公司 此类业务主要产品包括 Xpedition Substrate Integrator、Xpedition Package Designer、Calibre 3DSTACK。

3> 电子系统设计与制造类:此业务整合并优化从设计到制造的整个数字化流程,实现单个 PCB 到系统设计、个人到企业级的无缝衔接。面对日渐复杂的系统,公司提供了完整的 PCB 系统 设计流程以保持高效生产。公司此类业务主要产品包括 Xpedition Enterprise、PADS Professional、HyperLynx、Valor 等。

四、国内主要EDA企业盘点

4.1 华大九天:国内综合实力最强的 EDA 龙头

华大九天是国内规模最大、产品线最完整的 EDA 供应商。公司成立于 2009 年 5 月,自成立以来 一直聚焦于 EDA 工具的研发工作。公司过去承担了国家“核心电子器件、高端通用芯片及基础软 件产品”重大科技专项中的“先进 EDA 工具平台开发”与“EDA 工具系统开发及应用”两项 EDA 相关课题。经过多年发展创新,公司已经成为国内规模最大、产品线最完整、综合技术实力最强的 本土 EDA 企业,也是“大规模集成电路 CAD 国家工程研究中心”的依托单位。目前,公司主要 从事 EDA 工具软件的开发、销售及相关服务,主要产品包括模拟电路设计全流程 EDA 工具系统、 数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统和晶圆制造 EDA 工具等。2018- 2020 年,公司在营收及净利润方面实现了平稳快速增长,可见近年来公司在业务规模及技术实力 上均实现了较大突破。

公司盈利能力稳定,主营业务毛利率近年来小幅下滑主要系收入结构变化。公司主营业务包括 EDA 软件销售和技术开发服务:

1> EDA 软件销售业务为自产、标准化的软件销售业务,采用授权的销售方式,因此公司不存在 EDA 软件销售业务相关边际成本,故毛利率为 100%;

2> 技术开发服务业务通常采用定制化服务模式,需要投入的人工成本和委外费用较大,毛利率较 低。随着公司技术开发服务收入的快速上升,公司集中人力资源进行核心模块开发,借助第三 方进行边缘、辅助性的开发和测试工作的比例提升,因此 2019、2020 年此项业务毛利率有所 下降。

由于毛利率较低的技术开发服务收入占比有所提高,导致公司过去两年整体毛利率有所下降。我们 认为,公司提供的技术开发服务业务能帮助客户解决特定问题,有助于增加客户粘性,扩大公司的 业务及客户群体范围,这对于国产 EDA 企业的快速成长是有利的。

公司保持极高的研发投入力度,近年来研发费用率均超过 40%。从海外三巨头的经验来看,EDA 行业需要以研发和创新驱动,持续进行大量研发投入。公司作为国内 EDA 行业的龙头企业,为保 持自身竞争力,因此长期以来高度重视技术创新和研发投入。公司研发费用由 18 年的 0.75 亿快 速增长到 20 年的 1.83 亿,近 3 年的研发费用率分别为 49.81%、52.50%、44.22%,可见公司持 续保持了高强度的研发投入。公司采用直销的模式,且与主要客户有较长的合作历史,因此销售费 用率较为稳定;由于公司营收规模逐步扩大,近年来管理费用率逐步下降。

公司以研发技术人员为主,学历硕士及以上人数占比高,研发团队实力雄厚。截至 2020 年 12 月 31 日,公司总人数 477 人,员工中博士学位人数为 42 人,硕士学位人数为 246 人。公司研发 与技术人员数量达 322 人,研发与技术人员占公司总人数比例达 67.51%。

公司具有国内最完整的 EDA 产品线,包括模拟电路设计全流程 EDA 工具系统、数字电路设计 EDA 工具、平板显示电路设计全流程 EDA 工具系统和晶圆制造 EDA 工具等:

1> 公司是我国唯一能够提供模拟电路设计全流程 EDA 工具系统的本土 EDA 企业,部分工具达 到国际领先水平。该 EDA 工具系统包括原理图编辑工具、版图编辑工具、电路仿真工具、物 理验证工具、寄生参数提取工具和可靠性分析工具等,为用户提供了从电路到版图、从设计到 验证的一站式完整解决方案。公司目前主要既有模拟电路设计全流程 EDA 工具系统中,电路 仿真工具支持最先进的 5nm 量产工艺制程,处于国际领先水平;其他模拟电路设计 EDA 工具 支持 28nm 工艺制程,与已支持 5nm 先进工艺的同类领先工具仍存在一定差距。可见公司模 拟电路设计全流程 EDA 工具系统中部分工具达到国际领先水平,但整体尚未支持 16nm 及以 下先进工艺制程。

2> 公司目前在数字电路 EDA 领域仅覆盖数字电路设计的部分流程,已发布的工具中除个别外均 达到国际领先水平。公司目前在数字电路设计中有六大工具,除单元库特征化提取工具外(目 前可支持 40nm 量产工艺制程),其余五大工具均可支持目前国际最先进的 5nm 量产工艺制程,处于国际领先水平。目前,公司在数字电路 EDA 领域仅覆盖数字电路设计的部分流程, 尚未实现全流程工具覆盖。公司在 IPO 募投项目中的“电路仿真及数字分析优化 EDA 工具升 级项目”和“数字设计综合及验证 EDA 工具开发项目”等亦着眼于提升数字电路设计领域 EDA 工具的覆盖完整率,并将进一步促进对更高水平工艺制程的支持。

3> 公司的平板显示电路设计全流程 EDA 工具系统全球领先,提供了从原理图到版图、从设计到 验证的一站式解决方案。该 EDA 工具系统包含平板显示电路设计器件模型提取工具、平板显 示电路设计原理图编辑工具、平板显示电路设计版图编辑工具、平板显示电路设计电路仿真工 具、平板显示电路设计物理验证工具、平板显示电路设计寄生参数提取工具和平板显示电路设 计可靠性分析工具等。以上工具被集成在统一的设计平台中,为设计师提供了一套从原理图到 版图,从设计到验证的一站式解决方案,为提高平板显示电路设计效率,保证设计质量提供了 有力的工具支撑。

4> 公司针对晶圆制造厂的工艺开发和 IP 设计需求,提供了相关的晶圆制造 EDA 工具。公司晶 圆制造 EDA 工具主要包括器件模型提取工具、存储器编译器开发工具、单元库特征化提取工具、单元库/IP 质量验证工具、版图集成与分析工具以及模拟电路设计全流程 EDA 工具等, 为晶圆制造厂提供了重要的技术支撑。

4.2 概伦电子:深耕器件建模与电路仿真,具有国际竞争力

公司专注于器件建模与电路仿真两大环节,逐步在全球范围内取得较为稳固的市场地位。公司成 立于 2010 年,次年推出器件建模平台 BSIMProPlus。公司成立以来一直专注于 EDA 工具的自主 设计和研发,在器件建模和电路仿真两大集成电路制造和设计的关键环节掌握了具备国际市场竞 争力、自主可控的 EDA 核心技术,形成了核心关键工具,能够支持 7nm/5nm/3nm 等先进工艺节 点和 FinFET、FD-SOI 等各类半导体工艺路线,构建了较高的技术壁垒。目前,公司器件建模及验 证 EDA 工具已在全球形成较为稳固的市场地位,电路仿真及验证 EDA 工具已部分实现对全球领 先企业的替代,得到全球领先存储器芯片厂商的广泛使用。公司的主要客户包括台积电、三星电子、 SK 海力士、美光科技、联电、中芯国际等全球领先的集成电路企业。

公司营业收入快速增长,扣非后净利润增长稳健。2018-2020 年,公司分别实现营业收入 0.52、 0.65、1.37 亿元,2020 年实现营收增速 109.94%。公司 2018-2020 年实现归母净利润-0.08、 -8.77、0.28 亿元,2019 年亏损较多主要系公司股权激励费用较高所致(计入非经常性损益的股份 支付费用高达 8.80 亿)。去除股权激励费用的影响后,公司 2018-2020 年扣非后净利润分别为 -798.87、298.14、2132.59 万元,增长较为稳健。

公司三大业务稳健发展,EDA 工具授权业务占比最高。公司主营业务可以分为 EDA 工具授权业 务、半导体器件特性测试仪器销售业务和半导体工程服务业务,其中 EDA 工具授权业务 2018-2020 年收入占比分别为 84.69%、85.70%、69.22%,占比有所下降主要系公司另外两大业务过去基数 较低且近年来增速较快所致。若按产品类型拆分,EDA 工具授权业务可以分为制造类 EDA 与设计 类 EDA,由于近年来公司电路仿真工具不断完善,因此设计类 EDA 收入占比稳步提升;按授权模 式拆分,EDA 工具授权业务可以分为固定期限授权模式和永久授权模式,其中固定期限授权模式 2018-2020 年占比分别为 60.99%、78.77%、76.97%,为主要的授权模式。

公司毛利率处于较高水平,研发投入力度大。2018-2020 年,公司主营业务综合毛利率分别为 96.99%、95.86%、89.81%,整体有所下降主要系收入结构发生变化,毛利率较低的半导体器件特 性测试仪器销售业务和半导体工程服务业务近年来收入占比有所提升。分业务来看,EDA 工具授 权业务相应成本计入研发费用,因此毛利率维持在 100%;2020 年半导体器件特性测试仪器销售 业务毛利率有所下滑主要系公司收购博达微后新增 FS-Pro 产品,而 FS-Pro 相较于之前产品毛利 率较低;半导体工程服务业务毛利率近年来波动较大主要系规模相对较小,客户相对集中。从费用 率角度(扣除股份支付影响后)来看,公司近年来不断加强销售网络建设,加大市场推广力度,因 此销售费用率有所提升;公司长期保持较高的研发投入力度,2018-2020 年扣除股份支付影响后的 研发费用分别为 1913.51、3572.56、4963.73 万元,占总营收的比例分别为 36.83%、54.55%、 36.10%。(报告来源:未来智库)

公司的 EDA 产品主要可分为制造类 EDA 工具和设计类 EDA 工具两大类:

1> 制造类 EDA 工具:公司目前的制造类 EDA 工具主要为器件建模及验证 EDA 工具,用于快速 准确地建立半导体器件模型,主要包括先进器件建模平台 BSIMProPlus、高频器件建模平台 MeQLab、自动化建模平台 SDEP 等六大产品。公司器件建模及验证 EDA 工具能够用于建立 晶体管、电阻、电容、电感等半导体器件的基带和射频模型,能够支持 BSIM、HiSIM、PSP 等业界绝大多数标准模型和宏模型、Verilog-A 等定制化模型。目前,公司器件建模及验证 EDA 工具得到全球领先晶圆厂的广泛使用,包括台积电、三星电子、联电、格芯、中芯国际等全球 前十大晶圆代工厂中的九家,招股书报告期内来自于这九家晶圆厂的器件建模及验证 EDA 工 具收入占公司制造类EDA工具的累计收入比例超过50%,推动摩尔定律不断向7nm/5nm/3nm 演进。

2> 设计类 EDA 工具:公司目前的设计类 EDA 工具主要为电路仿真及验证 EDA 工具,能够适用 于模拟电路、数字电路、存储器电路及混合信号电路等集成电路,实现晶体管级电路仿真和验 证、芯片良率和可靠性分析、电路优化等功能,主要包括 NanoSpice、NanoSpice Giga、 NanoSpice Pro 等五大产品。公司电路仿真及验证 EDA 工具在市场高度垄断的格局下,已在 全球存储器芯片领域取得较强的竞争优势,部分实现对全球领先企业的替代,得到全球领先存 储器芯片厂商的广泛使用,包括三星电子、SK 海力士、美光科技等全球规模前三的存储器厂 商,招股书报告期内来自于这三家存储器厂商的收入占公司设计类 EDA 工具收入的比例超过 40%。

4.3 广立微:EDA 软件与晶圆级电性测试设备供应商

公司是领先的集成电路 EDA 软件与晶圆级电性测试设备供应商,专注于芯片成品率提升和电性测 试快速监控技术。公司成立于 2003 年,之后便在集成电路成品率提升领域深耕多年。公司依托软 件工具授权、软件技术开发和测试机及配件三大主业,提供 EDA 软件、电路 IP、WAT 测试设备 以及与芯片成品率提升技术相结合的全流程解决方案,在集成电路从设计到量产的整个产品周期 内实现芯片性能、成品率、稳定性的提升。公司自主研发的整体解决方案已得到华虹集团、三星电 子、粤芯半导体、合肥晶合、长鑫存储等亚洲主要大型集成电路制造企业的认可,实现了高质量的 国产化替代,打破了集成电路成品率提升领域长期被国外产品垄断的局面。目前,公司先进的解决 方案已成功应用于 180nm-4nm 工艺技术节点。

近年来,公司营业收入及归母净利润增长迅速。2018-2020 年,公司分别实现营业收入 0.31、0.66、 1.24 亿元,2019、2020 年增速分别为 112.25%、87.30%;分别实现归母净利润-997.02、1933.09、 4987.45 万元,公司于 2019 年扭亏为盈,2020 年利润增速高达 158.00%。公司凭借多年的研发 投入,积累了一定的优势技术与产品,另一方面公司与国内主要集成电路厂商合作关系不断深化, 因此实现了业绩的高速增长。

公司的主营业务可以分为软件技术开发、软件工具授权、测试机及配件和测试服务:

1> 软件技术开发:根据客户的工艺节点与工艺类型,采用公司的 EDA 软件、电路 IP,协助客户 完成测试芯片设计,通过电性测试设备硬件对测试芯片进行测试,将测试数据通过公司的数据 分析工具进行分析,为客户提供电性测试工艺监控和成品率提升的一站式服务。此业务主要采 用项目制模式,根据客户的工艺节点、类型以及涵盖内容签订技术服务合同,客户最终验收后 确认收入。

2> 软件工具授权:公司主要采用授权使用模式,向客户出售软件使用许可,约定一定期限内,客 户可使用公司提供的软件工具(主要是公司 EDA 类软件)。客户基于软件工具类型、套数与 授权时长向公司支付软件使用费,公司在使用期限内按直线法分摊确认收入。

3> 测试机及配件:测试机及配件是芯片测试的重要设备,公司自主研发的测试设备提升了测试效 率和灵活性。公司的 WAT 测试设备采用自研的电路构架,运用快速并行测试技术,平衡优化 了测试速度及精度,有效提高测试效率。公司主要采用常规的硬件销售模式向客户销售测试机 及配件,公司于客户验收或签收后确认收入。

4> 测试服务:公司主要与客户签订合同或协议,在一段时间内为客户提供测试服务。客户按照合 同或协议约定向公司支付费用,公司按约定的服务期直线法确认收入。

公司软件技术开发及软件工具授权业务在主营业务中占比高,整体毛利率表现较为平稳。2018- 2020 年,公司软件技术开发及软件工具授权两大业务在主营业务中合计占比均超过了 70%,且两 大业务毛利率均高达 90%以上,这是由于此两大业务的成本主要在于为客户实地调试的人工成本 及相关差旅费,这部分产生的成本规模较小,因此毛利率水平较为平稳。近年来,公司测试机及配 件业务增速较快,2020 年此业务毛利率下降较快主要系公司为开拓客户而在相关测试机上采用了 价格相对较高的硬件配置,这也导致公司整体毛利率有所下降,但总体上依旧维持在较高水平。

规模效应显现,公司各项费用率逐渐下降,研发费用保持较快增长。随着公司营收规模快速增长, 公司销售费用率、管理费用率、研发费用率(扣除股份支付的影响)均呈现出下降的趋势。研发费 用方面,由于股份支付在研发费用的占比较高(2018 年达 43.58%),因此我们剔除了股份支付的 影响,2018-2020年调整后的研发费用分别为1393.21、2209.24、3629.75万元,复合增速达61.41%,维持了较快增长,主要系公司持续升级更新产品矩阵,并为客户提供更加优质的服务,以满足客户 不断增长的需求。

公司的 EDA 产品主要聚焦集成电路制造环节,属于制造类 EDA 中的良率控制工具,主要包括 SmtCell、TCMagic、ATCompiler、ICSpider、Dense Array 及 DataExp。

1> 在测试芯片设计环节,SmtCell 可实现测试结构快速版图设计,TCMagic、ATCompiler、Dense Array 可实现测试结构摆放布局及自动绕线;由于因为产品版图可能跟测试芯片不一样,可能 需要直接对产品中关键器件进行监控,公司对应的产品诊断芯片设计软件 ICSpider 可以通过 对产品芯片中器件自动提取,实现直接连接测试,从而直接指导产品芯片的导入和成品率提升。

2> 在测试数据分析环节,技术人员在得到测试数据后可以利用公司的 DataExp 系列软件,结合 设计参数和制造过程中其他相关数据 ,对测试数据进行分析,快速找到影响成品率的因素和 提升机会。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。

举报/反馈

未来智库

18万获赞 15万粉丝
未来智库,智造未来!
关注
0
0
收藏
分享