(报告出品方/作者:安信证券,郭倩倩、马良、胡园园)

1.半导体工艺控制设备:芯片良率的关键,千种零部件技术壁垒高

半导体工艺控制设备对芯片良率至关重要,随着制程微缩需求倍增。主流半导体制程正从 28/14nm 向 10/7/5/3nm 发展,三维 FinFET 晶体管、3D NAND 等新技术亦逐渐成为目前行业 内主流技术。随着技术的进步发展,集成电路前道制程的步骤越来越多,工艺也更加复杂。 28nm 工艺节点的工艺步骤有数百道工序,由于采用多层套刻技术,14nm 及以下节点工艺步 骤增加至近千道工序。根据 Yole 的统计,工艺节点每缩减一代,工艺中产生的致命缺陷数 量会增加 50%,因此每一道工序的良品率都要保持在非常高的水平才能保证最终的良品率。 当工序超过 500 道时,只有保证每一道工序的良品率都超过 99.99%,最终的良品率方可 超过 95%;当单道工序的良品率下降至 99.98%时,最终的总良品率会下降至约 90%,因此, 制造过程中对工艺窗口的挑战要求几乎“零缺陷”。检测和量测环节贯穿制造全过程,是保 证芯片生产良品率非常关键的环节。随着制程越来越先进、工艺环节不断增加,行业发展 对工艺控制水平提出了更高的要求,制造过程中检测设备与量测设备的需求量将倍增。

半导体工艺控制设备主要包括“面向晶圆制造的前道检测”和“面向先进封装的中道检测”。 传统的集成电路工艺主要分为前道和后道,随着集成电路行业的不断发展进步,后道封装 技术向晶圆级封装发展,从而衍生出先进封装工艺。先进封装工艺指在未切割的晶圆表面 通过制程工艺以实现高密度的引脚接触,实现系统级封装以及 2.5/3D 等集成度更高、尺 度更小的器件的生产制造。因此,集成电路工艺进一步细分为前道制程、中道先进封装和 后道封装测试;贯穿于集成电路领域生产过程的质量控制环节进一步可分为前道检测、中 道检测和后道测试。前道检测主要是针对光刻、刻蚀、薄膜沉积、清洗、CMP 等每个工艺 环节的质量控制的检测;中道检测面向先进封装环节,主要为针对重布线结构、凸点与硅 通孔等环节的质量控制;后道测试主要是利用电学对芯片进行功能和电参数测试,主要包 括晶圆测试和成品测试两个环节。我们本文所谈论的半导体检测和量测设备主要包括面向 晶圆制造环节的前道检测和面向先进封装环节的中道检测两大部分。

1.1.技术壁垒:75%基于光学检测技术,19%应用电子束技术

从技术原理上看,检测和量测包括光学检测技术、电子束检测技术和 X 光量测技术等。目 前,在所有半导体检测和量测设备中,应用光学检测技术的设备占多数。光学检测技术、 电子束检测技术和 X 光量测技术的差异主要体现在检测精度、检测速度及应用场景上。根 据 VLSI Research 和 QY Research 的报告,2020 年全球半导体检测和量测设备市场中, 应用光学检测技术、电子束检测技术及 X 光量测技术的设备市场份额占比分别为 75.2%、18.7%及 2.2%,应用光学检测技术的设备占比具有领先优势,电子束检测技术亦具有一定 的市场份额。

光学检测:检测速度快,比电子束快 1000 倍以上。光学检测技术基于光学原理,通过对光 信号进行计算分析以获得检测结果,光学检测技术对晶圆的非接触检测模式使其具有对晶 圆本身的破坏性极小的优势;通过对晶圆进行批量、快速的检测,能够满足晶圆制造商对 吞吐能力的要求。在生产过程中,晶圆表面杂质颗粒、图案缺陷等问题的检测和晶圆薄膜 厚度、关键尺寸、套刻精度、表面形貌的测量均需用到光学检测技术。 电子束检测:电子束波长比光波短,因而检测精度高,目前主要用于电子束缺陷检测和电 子束缺陷复查。电子束检测技术是指通过聚焦电子束至某一探测点,逐点扫描晶圆表面产 生图像以获得检测结果。电子束的波长远短于光的波长,而波长越短,精度越高。因此, 电子束检测技术的相对低速度导致其应用场景主要在对吞吐量要求较低的环节,如纳米量 级尺度缺陷的复查,部分关键区域的表面尺度量测以及部分关键区域的抽检等。

X 光量测技术:用于特定金属成分测量和超薄膜测量等领域,应用场景相对较窄。

在实际应用中,光学与电子束技术经常互补配合使用,即当光学技术检测到缺陷后,用电 子束重访已检测到的缺陷,对部分关键区域表面尺度量测的抽检和复查,确保设备检测的 精度和速度。两种技术之间存在优势互补的情况。

1.2.发展趋势:软硬件结合,向高速/高精度/高吞吐量方向发展

半导体质量控制设备是晶圆厂的主要投资支出之一,设备的性价比是其选购时的重要考虑 因素。质量控制设备检测速度和吞吐量的提升将有效降低集成电路制造厂商的平均晶圆检 测成本,从而实现降本增效。因此,检测速度和吞吐量更高的检测和量测设备可帮助下游 客户更好地控制企业成本,提高良品率。 总体上,集成电路检测和量测技术的发展呈现出以下趋势:随着集成电路器件物理尺度的 缩小,需要检测的缺陷尺度和测量的物理尺度也在不断缩小;随着集成电路器件逐渐向三 维结构发展,对于缺陷检测和尺度测量的要求也从二维平面中的检测逐渐拓展到三维空间 的检测。为满足检测和量测技术向高速度、高灵敏度、高准确度、高重复性、高性价比的 发展趋势和要求,行业内进行了许多技术改进,例如增强照明的光强、光谱范围延展至 DUV 波段、提高光学系统的数值孔径、增加照明和采集的光学模式、扩大光学算法和光学 仿真在检测和量测领域的应用等,未来随着集成电路制造技术的不断提升,相应的检测和 量测技术水平也将持续提高。

1.2.1.硬件:千种零部件,运动控制/光学系统是关键

半导体工艺控制设备作为贯穿晶圆制造全过程、不可或缺的质量控制设备,涉及光学、物 理学、机械学、算法等多领域学科,,对设备供应商的技术实力和跨学科技术资源的整合能 力有较高要求。硬件层面,设备涉及的零部件种类和型号繁多,不同型号和规格的零部件 数量高达上千种。按大类来看,主要可分为六大类:运动与控制系统类、光学类、电气类、 机械加工件、机械标准件及其他部件;其中,运动与控制系统类和光学类零部件为半导体 量检测设备核心零部件。

半导体量检测设备厂商对于标准零部件通常采用向供应商直采的模式,而部分关键零部件 则由公司设计并由供应商按照设计要求的规格制造。从中科飞测近年供应商来看,运动与 控制类零部件主要供应商包括日本的 Rorze、韩国 Soonhan、华卓精科、美国 AEROTECH、美 国 Brooks、北京锐洁机器人等;光学类零部件供应商主要为美国相干公司、日本滨松光子 学等。行业部分关键零部件仍主要依赖美日厂商,国产化程度仍相对偏低。

采用更短波长光源、使用更大数值孔径光学系统提高光学分辨率。随着 DUV、EUV 光刻技术 的不断发展,集成电路工艺节点不断升级,对检测技术的空间分辨精度也提出了更高要求。 目前最先进的检测和量测设备所使用的光源波长已包含 DUV 波段,能够稳定地检测到小于 14nm 的晶圆缺陷,并且能够实现 0.003nm 的膜厚测量重复性。检测系统光源波长下限进一 步减小和波长范围进一步拓宽是光学检测技术发展的重要趋势之一。此外,提高光学系统 的数值孔径也是提升光学分辨率的另一个突破方向,以图形晶圆缺陷检测设备为例,光学 系统的最大数值孔径已达到 0.95,探测器每个像元对应的晶圆表面的物方平面尺寸最小已 小于 30nm。未来,为满足更小关键尺寸的晶圆上的缺陷检测,必须使用更短波长的光源, 以及使用更大数值孔径的光学系统,才能进一步提高光学分辨率。

1.2.2.软件:大数据检测算法和软件重要性凸显

达到或接近光学系统极限分辨率的情况下,最新的光学检测技术已不再简单地依靠解析晶 圆的图像来捕捉其缺陷,而需结合深度的图像信号处理软件和算法,在有限的信噪比图像 中寻找微弱的异常信号。晶圆检测和量测的算法专业性很强,检测和量测设备对于检测速 度和精度要求非常高,且设备从研发到产业化的周期较长。因此,目前市场上没有可以直 接使用的软件。业内企业均在自己的检测和量测设备上自行研制开发算法和软件,未来对 检测和量测设备相关算法软件的要求会越来越高。

2.细分赛道:缺陷检测占比 62.6%,量测占比 33.5%

工艺目的上看,半导体工艺控制设备设备=检测+量测。应用于前道制程和先进封装的质量 控制根据工艺可细分为检测(Inspection)和量测(Metrology)两大环节。检测指在晶圆 表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划伤、开短路等对芯 片工艺性能具有不良影响的特征性结构缺陷;量测指对被观测的晶圆电路上的结构尺寸和 材料特性做出的量化描述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的 量测。

缺陷检测设备市场占比 62.6%,量测设备市场占比 33.5%。根据中商产业研究院数据,2020 年半导体量检测设备市场结构中,检测设备占比为 62.6%,包括无图形晶圆缺陷检测设备、 图形晶圆缺陷检测设备、纳米图形缺陷检测设备、掩膜检测设备等,其中纳米图形缺陷检 测设备需求最大,整体占比 24.7%;量测设备占比为 33.5%,包括三维形貌量测设备、薄膜 膜厚量测设备(晶圆介质薄膜量测设备)、套刻精度量测设备、关键尺寸量测设备、掩膜量 测设备等,其中关键尺寸测量应用占比 18.3%(包括电子束关键尺寸测量)。

2.1.缺陷检测:明场光学检测高壁垒,主要用于图形晶圆检测

缺陷检测(62.6%)=光学检测(51.9%)+电子束检测(10.7%)。在缺陷检测环节,无图形 晶圆检测、图形晶圆检测以及掩膜版缺陷检测通常采用光学检测技术,合计占比 51.9%,而 电子束技术主要用于部分关键区域的检测以及缺陷复检,合计占比 10.7%。

无图形晶圆缺陷检测:无图形晶圆一般指裸硅片或有一些空白薄膜的硅片,后者主要用作 测试片,检测的缺陷主要包括表面的颗粒、残留物、刮伤、裂纹等,这些缺陷会影响后续 工艺质量,最终影响产品良率。目前,国际主流无图形缺陷检测设备主要采用“暗场散射” 原理,即用单波长光束照射晶圆表面,光束会被晶圆表面反射,但当光束遇到晶圆表面的 缺陷时,缺陷会散射一部分激光,设备通过接收采集缺陷散射光信号判断缺陷种类和位置。

全球格局上,KLA 一直处于领先地位,其推出的 Surfscan SP 系列产品可实现晶圆表面纳米 级缺陷的检测,最新推出的 Surfscan SP7XP 缺陷检测系统可检测 5nm 及以下的缺陷。国产角 度看,目前中科飞测已推出 SPRUCE-600 和 SPRUCE-800 两大型号产品,分别应用于 130nm 及以上节点和 2Xnm 以上节点,部分实现国产替代;最小灵敏度指标上来看,SPRUCE-800 最 小灵敏度 23nm,与 KLA SP3 相当;吞吐量上看,KLA SP3 大于 100wph,中科飞测 SPRUCE800 在 26nm 灵敏度时吞吐量为 25wph,国产设备仍有提升空间。

有图形晶圆缺陷检测:有图形缺陷检测是指晶圆在光刻、刻蚀、沉积、离子注入、抛光等 工艺过程中,对晶圆进行检测,主要的缺陷不仅包括纳米颗粒、凹陷、凸起、刮伤、断线、 桥接等表面缺陷,还包括空洞、材料成分不均匀等亚表面和内部缺陷。图形化晶圆缺陷检 测系统将测试芯片的空间像与相邻芯片的空间像进行比较,以获得仅有非零随机缺陷特征 信号的空间差分图像。目前,产业界主流的图形结构检测设备仍然是基于光学显微镜技术 的明场或暗场成像原理。明场照明是最常用的照明配置,通常包括与收集光路大致重合的 定向照明光路,暗场照明是指与收集光路明显分离的定向照明光路,暗场照明在对高反射 表面成像或产生边缘效应的情形中十分有效。此外,电子束也应用于部分缺陷检测及复检 场景。 在明场/暗场缺陷检测领域,KLA 同样处于领先地位,其明场缺陷检测设备主要分为 29xx 和 39xx 两大系列,29xx 系列最新产品 2950/2955 和 39xx 系列 3920/3925 均可应用于 7nm 及 以下节点;国产厂商中,上海精测明场光学缺陷检测设备已取得突破性订单,且已完成首 台套交付。KLA 暗场有图形缺陷检测设备主要为 PUMA 系列,最新 PUMA 9980 可应用于 1Xnm。

电子束缺陷检测与复检:通过聚焦电子束扫描样片表面产生样品图像以获得检测结果,具 有高精度、速度较慢的特点,通常用于纳米级尺度缺陷的复查以及部分关键区域的抽检等。 目前,应用材料在电子束量检测技术方面占据主导地位,2021 年全球市占率 51%;其推出 推出电子束缺陷复检系列产品 SEMVision G10 和电子束缺陷检测系列产品 PrimeVision 10两大系列产品。国际巨头 KLA 在 1998 年收购 Amray Inc 公司后获得电子束检测技术,目前 已推出电子束图形晶圆检测系统 eSL10 和电子束缺陷复检系统 eDR7xxx 两大系列。

掩模板缺陷检测:光刻光源从 DUV 到 EUV 时代,对掩模版缺陷检测提出了更高的要求。 在光刻工艺中,必须事先设计并制备一组具有特定几何图形的光刻掩模(mask),作为复制 批量生产用版,供光刻工艺曝光之用。在实际的光刻掩模生产制造过程中,也不可避免地 会存在各种缺陷,而且这些缺陷会经由光刻工艺批量复制到所有硅片中。随着光刻工艺进 入 KrF 及 ArF 光源主导的深紫外(DUV)光刻时代,掩模误差增强因子也将在曝光过程中 显著地增加,掩模关键尺寸均匀性与掩模缺陷越来越难以控制,同时掩模上的缺陷尺寸逐 渐从百纳米量级缩减至数十纳米量级,这对掩模缺陷检测波长与光刻波长的波长一致性、 缺陷检测灵敏度、缺陷检测效率提出了更高的要求。 日本 Lasertec 公司在 EUV 掩模缺陷检测领域占据垄断地位,其研制出了首台 EUV 掩模缺 陷检测系统 ACTIS A150。ACTIS A150 使用了 13.5 nm 波长的 EUV 光源,可分辨半周期 为 35 nm 的光栅掩模,实现了 20 nm 以下尺度的掩模结构缺陷的检测。

2.2.量测:关键尺寸/套刻误差为主要应用场景

2020 年市场结构上看,集成电路制造和先进封装环节中的量测设备中,OCD(光学关键尺寸 量测)/CD-SEM(电子束关键尺寸量测)/掩模板关键尺寸量测/套刻精度量测/晶圆膜厚量 测/X 光量测/三维形貌量测设备分别占比 10.2%/8.1%/1.3%/7.3%/3.5%/2.2%/0.9%。其中 OCD、CD-SEM、套刻误差量测、膜厚量测占据主要份额。

关键尺寸测量:CD-SEM 测量+OCD 测量。当前行业关键尺寸测量主要采用扫描电子显微镜测 量(CD-SEM)和光学线宽测量(OCD)两种方式。扫描电子显微镜测量(CD-SEM)作为传统 的测量技术,可实现纳米级尺度的尺寸测量,但也存在测量速度慢、成本高、设备操作复 杂的缺点。与之对比,光学测量技术具有速度快、成本低、无接触对样本无损的优点。在 IC 制造中,应用光学原理对纳米结构 CD(关键尺寸)、高度、侧壁角等形貌参数的测量主 要采用“非成像式光学技术——光学散射仪”,也称为 OCD 测量仪。 CD-SEM(电子束关键尺寸测量):当前市场主流产品型号包括 AMAT 的 VeritySEM 系列和 PROVision 系列,以及日立高科的 SEM 系列;国产突破上看,东方晶源面向 8 吋产线的首台 CD-SEM 设备 SEpA@-C300 系列已于 2022 年 4 月出货给燕东微,面向 12 吋产线的首台设备 已于 2021 年 7 月出机中芯国际。

OCD(光学关键尺寸量测):光学散射测量本质上是通过测量周期性纳米结构的散射信息, 求解逆散射问题来重构纳米结构的三维形貌。因此,其基本流程主要包括两个问题,即正 问题和反问题。正问题是通过合适的散射测量装置获取待测纳米结构的散射信息,主要涉 及仪器测量问题;反问题是从测量得到的散射数据中提取待测纳米结构的三维形貌参数。 目前,市场主流型号主要包括 KLA 的 SpectraShape 系列以及 Onto Innovation 的 Aspect 系列、Atlas 系列和 IMPULSE 系列;国产突破上,上海精测 OCD 产品 IM 系列已取得多家批 量订单。

套刻误差:确保电路当前层与参考层图形正对准。套刻误差是指 IC 制造中晶圆上当前层图 形相对于参考层图形沿 x 和 y 方向的偏差,理想情况是当前层与参考层的图形正对准,即 套刻误差是零。为了保证在上下两层中所设计的电路能够可靠地连接,当前层与参考层的 套刻误差必须小于图形特征线宽的 1/3~1/5。套刻误差的快速测量与精确评估,是光刻机运 行参数优化与工艺良率管理的关键。

测量原理:基于衍射的套刻误差测量技术逐渐成为先进节点的主要手段。从基本测量原理 上来看,光学套刻误差测量技术可以分为:基于成像的套刻误差(IBO)测量技术和基于衍 射的套刻误差(DBO)测量技术。IBO 测量技术利用具有图像识别和测量功能的高分辨率 明场光学显微镜,测量专门设计的套刻标记中图形位置的偏差来实现套刻误差的测量; DBO 测量技术中的套刻标记为专门设计的纳米光栅结构,通过测量套刻标记的衍射信号, 如光谱或角分辨谱等,通过一定的方法提取套刻误差。相比 IBO 技术,DBO 技术不受衍射 极限以及工具引起的偏移等的限制,逐渐成为先进节点中套刻误差测量的主要手段。 目前,市场主流套刻误差设备主要为 KLA 基于成像原理的 Archer 系列和基于衍射原理的 ATL 系列,以及 ASML 的 YieldStar 系列;YieldStar 系列原理上也基于 DBO 技术。

膜厚测量:光学膜厚量测不接触薄膜表面,利用光学参数(折射率、消光系数等)实现对 薄膜尺寸的的量测。目前,市场主流膜厚量测设备主要包括 KLA 的 SpectraFilm 系列和 Aleris 系列。国产突破上,上海精测应用于金属膜厚量测的 MetaPAM 系列已取得多家批量 订单;睿励仪器的 TFX3000 系列已应用在 65/55/40/28 纳米芯片生产线并正在进行 14 纳米 工艺的验证,在 3D 存储芯片产线上可支持 64 层 3D NAND 芯片的生产,并正在验证 96 层 3D NAND 芯片的测量性能;中科飞测介质膜厚量测设备已量产,并正在着力进行金属膜厚量 测设备的开发。

3.市场空间:光刻/刻蚀/沉积外第四大赛道,2022 年全球需求 135 亿美元

3.1.全球市场:2024 年设备支出重回高位,量检测设备需求再超 100 亿美元

2021/2022 年缺芯加速产能扩张,全球半导体设备支出超 1000 亿美元创新高。2021 年,疫 情诱发消费电子需求超预期增长,全球半导体市场开始迎来大范围缺芯,晶圆产能供不应 求,全球新一轮扩产周期开启。根据 SEMI 数据,2021 年全球半导体设备支出达 1026 亿美 元,同比增长 44%;2022 年继续维持高位再创新高,达 1076 亿美元,其中 91%为前道晶圆 厂设备支出,约 980 亿美元,9%为后道封测企业设备支出,约 96 亿美元。 2023 年受终端需求疲软影响,全球半导体设备支出下滑至 844 亿美元,但 2024 年有望继续 恢复至 1000 亿美元量级。2023 年,受产能持续开出,且下游消费需求出现疲软,全球晶圆 厂资本支出放缓,根据 SEMI 测算,预计 2023 年全球前道晶圆设备支出将下滑至 760 亿美 元,同比下滑 22%;假设 2023/2024 年全球半导体设备支出中晶圆厂设备占比 90%,即 2023 年全球半导体设备支出将下滑至 844 亿美元,同比下滑 22%。2024 年,随着需求逐渐复苏 及 AI 等高算力应用场景增加,晶圆厂将逐步重启扩产规划,预计 2024 年全球半导体设备 支出将恢复至 1022 亿美元,同比增长 21%。

前道制造环节,半导体量检测设备需求占比 11%。根据 Gartner 统计,2020 年前晶圆厂 设备支出中,光刻机/等离子刻蚀机/CVD/量检测/清洗机/PVD/涂胶显影机/CMP/离子注入机 分别占比 21%/20%/22%/11%/6%/4%/4%/3%/2%,半导体量检测设备是除了光刻机、刻蚀机、 薄膜沉积三大类核心设备以外需求占比最大的赛道。

全球半导体工艺控制设备市场规模已达 100 亿美金量级。根据 KLA 披露的 Gartner 统计数 据,2022 年全球半导体工艺控制设备市场规模达到 135 亿美元,同比增长 30%,成为 WFE (晶圆制造设备)市场中成长最快的细分赛道,以 SEMI 统计的 2021/2022 年全球半导体设 备支出为基数,测算可知 2021/2022 年全球半导体质量控制设备市场占设备支出比例分别 为 10.1%/12.5%。我们假设 2023/2024 年 11%的市场占比,即预计 2023/2024 年全球半导体 质量控制设备市场规模将分别达到 92.9/112.4 亿美元。

3.2.中国大陆:内资线持续扩产,在建产线合计量检测设备需求达 90 亿美元

根据 SEMI 数据,2022 年中国大陆半导体设备支出 283 亿美元,相较 2021 年 296 亿美元的 历史高位略有下滑,占全球市场比例约为 26.3%。回顾近五年,2017-2022 年全球半导体设 备支出复合增速 14%,而中国大陆近五年复合增速近 28%,中国大陆积极布局晶圆制造产线, 已成为全球半导体设备第一大需求市场。我们假设 2023/2024 年中国大陆市场占比仍为 26.3%,即预计 2023/2024 年中国大陆半导体设备支出将分别达到 222/269 亿美元。

中国大陆半导体量检测设备需求步入 30 亿美元量级。假设中国大陆半导体工艺控制设备支 出在整体半导体设备支出中的占比与全球一致,即 2016-2020 年为 11%,2021/2022 年分别 为 10.1%/12.5%,则 2021/2022 年中国大陆半导体工艺控制设备市场规模分别为 30/35 亿美 元,对于未来,我们保守假设 2023/2024 年 11%的占比,则对应 2023/2024 年中国大陆半导 体量检测设备支出规模将分别达到 24/30 亿美元。

内资 12 吋在建产线合计投资超 1000 亿美元,对应量检测设备需求 90 亿美元。根据我们不 完全统计,目前内资 12 吋在建晶圆厂合计规划产能达 156 万片/月,合计投资金额超 1000 亿美元,将继续支撑国内晶圆厂 3-4 年扩产高峰期,带来旺盛半导体设备采购需求。对应 到量检测设备端来看,假设产线 80%的设备支出占比,以及设备支出结构中量检测设备 11% 的占比,则 1020 亿美元产线投资额对应的半导体量检测设备需求约为 90 亿美元。

4.全球格局:KLA 一家独大,二线厂商各有特色

KLA 占据半壁江山,美日厂商份额合计超 80%。目前,全球半导体检测和量测设备市场也呈 现国外设备企业垄断的格局。全球范围内主要检测和量测设备企业包括科磊半导体、应用 材料、日立等。科磊半导体一家独大,根据 VLSI Research 的统计,2020 年其在检测与量 测设备的合计市场份额占比为 50.8%,全球前五大公司合计市场份额占比超过了 82.4%,均 来自美国和日本,市场集中度较高。

国际厂商:KLA 一家独大,二三线厂商布局细分赛道,小而美。从产品布局上看,KLA 布局 全面,几乎覆盖半导体量检测设备全部品类。应用材料在电子束检测技术方面重点发力, 布局电子束缺陷检测、电子束缺陷复检、CD-SEM 设备,2021 年在全球电子束量检测设备领 域市占率达到 51%,占据半壁江山。日立布局有暗场缺陷检测、电子束缺陷复检以及 CDSEM 设备;ASML 围绕光刻重点布局电子束量检测、套刻误差设备;日本 Lasertec 作为全球 首家推出 EUV 掩模版缺陷检测设备的厂商,在 EUV 掩模版缺陷检测领域具备绝对优势; Camtek 在宏观缺陷检测领域有所布局;Onto Innovation 产品涉及宏观缺陷检测、OCD、套 刻误差及膜厚测量领域;以色列厂商新星测量则主要布局 OCD 及膜厚测量设备。

营收规模上同样可以看到,KLA 一家独大,2022 年日历年半导体工艺控制系统收入规模超 70 亿美元,二线厂商 ASML/Onto Innovation/Nova/Lasertec/Camtek 半导体量检测系统收 入分别为 7.1/8.3/4.6/8.7/2.7 亿美元,与 KLA 规模相差较大。而国产半导体量检测设备 厂商中科飞测、上海精测营收规模均不到 1 亿美元,依旧处于早期突破成长阶段。 毛利率角度看,半导体量检测设备属于高端质量控制设备,具有极高的技术壁垒,2022 年 KLA 综合毛利率可达 61%,依托于规模效应,净利率高达 36.1%;而国际二线厂商除 Camtek 以外,Onto Innovation/Nova/Lasertec 毛利率均高于 50%,净利率普遍在 20%-25%之间。 国产设备厂商毛利率基本能与海外设备厂商对齐,但由于还处于早期成长阶段,研发投入 支出较大,利润仍需时间释放。

4.1.KLA:兼收并购布局全面,2015 年迎来高速成长期,市占超 50%

KLA 最初以光掩模版检测和膜厚测量起家,历史最早可追溯至 1975 年。1975 年 Ken Levy 和 Bob Anderson 共同成立公司 KLA Instruments,专注于光掩模版检测;而几乎同时段, 捷克科学家 Karel Urbanek 与同事 John Schwabacher 共同创立 Tencor Instruments,专注 于半导体膜厚测量。1997 年,两家公司合并成立 KLA-Tencor。

合并后的二十多年里,KLA-Tencor 陆续收购了 SEM(扫描电子显微镜)供应商 Amray 获得 电子束检测技术,收购台湾良率分析软件制造商 ACME Systems、美国光刻建模和分析软件 开发商 Finle Technologies、高级工艺控制(APC)软件开发商 Fab Solutions 等夯实其软件算法能力,收购表面检测系统制造商 Candela Instruments、Inspex 的晶圆检测业务等 丰富其检测产品阵列。

从营收规模角度看,2005 年 KLA 营收规模达到 20.9 亿美元,2015 年营收规模 28.1 亿美元, 10 年年复合增速 3%,除了 2009/2010 年受全球金融危机影响处于低谷外,基本保持平稳增 长的态势。2015-2022 年,KLA 迎来高速成长期,7 年复合增速 12.6%;其中 2017-2018 年 主要驱动来自三星、海力士等存储端的大幅扩产,2019-2022 年则主要受益于疫情催化的从 消费电子蔓延到工业/汽车端的缺芯周期。

我们将分析年度调整为日历年,分析 2022 年初以来公司营收产品结构、地区结构及下游应 用结构情况。 产品角度看,收入规模增长主要由半导体工艺控制设备驱动。公司营收主要来自于半导体 工艺控制系统及服务收入两大部分,2022 年 KLA 半导体工艺控制设备收入占比 68%,同比 增长 36%;服务收入占比 20%,同比增长 15%;其余特色半导体工艺设备、PCB/面板/封装缺 陷检测设备收入分别占比 5%。 下游角度看,逻辑需求大,存储端需求自 22Q3 开始显著疲软。2022 年全年,KLA 公司逻辑 需求占比 65%,同比增长 24%;而存储需求占比 35%,同比增长 37%,但受下游需求疲软及 存储价格周期影响,存储端需求从 2022Q3 开始加速下滑,2023Q1 存储端收入同比下滑 60%, 环比下滑 50%。 地区需求角度看,中国大陆需求占比 28%。KLA 公司 2022 年营收结构中中国大陆/中国台湾 /韩国/北美/日本/欧洲占比分别为 28%/25%/18%/10%/8%/7%,中国大陆是 KLA 最大需求市场。

4.2.AMAT:布局明场/掩模版/电子束,电子束应用领域全球市占近 50%

应用材料在电子束量检测技术方面占据主导地位,2021 年其电子束系列产品实现营收 10.8 亿美元,同比增长 95%,在全球半导体电子束量检测技术领域市占率近 50%。

应用材料覆盖电子束缺陷检测、电子束缺陷复检、电子束关键尺寸测量三大品类,拥有 SEMVision、PrimeVision、PROVision、VerifySEM 四大系列产品。

4.3.ASML:围绕光刻布局套刻误差/电子束量检测,2022 全球市占 5%

ASML 围绕光刻系统布局布局量检测设备,以减少每一道曝光环节的边缘误差,确保套刻和 关键尺寸的一致性。其产品主要分为两大类: 一是基于光学检测技术的套刻误差测量:2020 年,其推出的 YieldStar 385H 系列,用于光 刻后刻蚀前抗蚀剂的套刻误差测量,2021 年,其最新推出的 YieldStar 1385H 系列则用于 刻蚀后图案的内部套刻误差测量,并可实现一次性测量多层; 二是基于电子束检测技术的电子束缺陷检测和电子束关键尺寸测量设备:其最新的单电子 束缺陷检测系统 eScan 430 相较前一代在产能上实现了 35%的改善;2022 年新一代多电子 束缺陷检测系统 HMI eScan 1100 搭载 25 个电子束进行持续扫描检测,可大大提高产能, 目前已送机至客户端处进行验证评估;2022 年发布的高分辨率系统 eP5 XLE 最低分辨率可 达 1nm,主要用于逻辑和存储芯片内 3D 结构的检测与测量。

2022 年收入 7.1 亿美元,全球市占率 5.2%。ASML 半导体量检测设备板块营收从 2020 年开 始实现较快增长,2020-2022 年分别实现销售收入 4.3/5.9/7.1 亿美元,同比增长 39%/37.1%/20.5%。从系统收入结构上看,量检测设备占比较小,2021 年与 2022 年均占比 近 4%。

4.4.Onto Innovation:布局相对全面,2022 全球市占 6.2%

Rudolph Technologies, Inc.和 Nanometrics Incorporated 分别成立于 1940 年和 1975 年, 并于 2019 年合并成立创新科技(Onto Innovation),其总部位于美国麻萨诸塞州。Onto Innovation 主要产品与服务涵盖关键尺寸量测设备、薄膜膜厚量测设备、三维形貌量测设 备、缺陷检测设备,以及半导体制程控制软件等产品。

创新科技 2020 年开始爆发式增长,2022 年全球市占 6.2%。2020-2022 年创新科技分别实现 营收 5.6/7.9/10.1 亿美元,同比增长 81.9%/41.8%/27.4%,其中 2022 年全球市占率约 6.2%。从 2022 年营收结构看,NAND/DRAM/逻辑端量检测设备收入占比 43%,先进封装及特 色工艺端量检测设备收入占比 40%,其余软件和服务收入占比 17%。地区结构上,创新科技 主要依赖中国大陆、中国台湾以及韩国市场,营收占比均超 20%。

4.5.Lasertec:EUV 掩膜板缺陷检测龙头,2022 年全球市占 6.4%

Lasertec 起源于 1960 年成立的东京 ITV 综合研究所,最初公司主要开发用于医疗机构的 X 射线电视摄像机;后 1975 年推出光掩膜针孔检测系统,首次进入半导体行业,并于 1976 年开发出世界第一台 LSI 光掩模自动缺陷检测系统。Lasertec 的高速成长则得益于 2017 年, 其开发并推出世界首台空白 EUV 掩模版缺陷检测和复检系统,后续并于 2019 年推出世界首 台 EUV 掩模缺陷检测系统 ACTIS A150,进一步奠定了其在 EUV 掩模版缺陷检测领域的龙头 地位。2017 年全年 Lasertec 实现营收 1.6 亿美元,2022 年其营收规模增长至 6.6 亿美元, 五年复合年增速 33.7%。

4.6.Nova:专注量测板块,2022 年全球市占 3.4%

新星测量仪器(Nova Measuring Instruments)成立于 1993 年,总部位于以色列雷霍沃特。 该公司产品主要为半导体量测设备,包括关键尺寸测量、薄膜膜厚测量、材料性能测量等, 通过综合应用 X 射线、光学技术、软件建模等技术,为半导体制造企业提供专业的工艺控 制解决方案。

2021 年增长显著,2022 年全球市占 3.4%。根据新星测量仪器年报披露显示,其 2021/2022 年分别实现营收 4.2/5.7 亿美元,同比增长 54.5%/37.2%,2021 年增长显著,2022 年全球 市占率 3.4%。地区结构上看,其 2022 年营收中中国大陆/中国台湾/美国/韩国营收占比分 别为 28%/32%/16%。

4.7.Camtek:发力先进封装量检测,2022 年全球市占 2.4%

康特科技(Camtek)成立于 1987 年,总部位于以色列米格达勒埃梅克。该公司是半导体行 业高端检测和量测设备的制造商,其产品应用于先进封装、异构集成、化合物半导体、 CMOS 图像传感器、存储、MEMS、射频和功率器件等领域,为众多行业内领先的全球 IDM、 OSAT 和代工厂提供服务;其中先进封装业务收入占比近 60%。 2021 年,康特科技实现爆发式增长,全年营收 2.7 亿美元,同比增长 73%;2022 年继续实 现 19%增长,全年营收达 3.2 亿元,全球市占率达 2%。地区结构上,2022 年 Camtek 收入中 近 44%来自于中国市场。

5.国产进展:各自突破,国产化率不到 5%

中国半导体检测与量测设备市场中,设备的国产化率较低,市场主要由几家垄断全球市场 的国外企业占据主导地位,其中科磊半导体在中国市场的占比仍然最高,领先于所有国内 外检测和量测设备公司,并且得益于中国市场规模近年来的高速增长。国产厂商中,中科 飞测/上海精测/睿励仪器 2022 年营收分别为 5.1/1.65/0.72 亿元,合计营收仅 7.5 亿元, 相较于 2022中国大陆工艺控制设备 35 亿美元的市场规模,国产化率不足 5%。目前,国 内半导体市场处于高速增长期,本土企业存在较大的国产化空间,但由于国外知名企业规 模大,产品线覆盖广度高,品牌认可度高,导致本土企业的推广难度较大。近年来国内企 业在检测与量测领域突破较多,受益于国内半导体产业链的迅速发展,该领域国产化率有 望在未来几年加速提升。

5.1.中科飞测:布局无图形/图形缺陷检测/膜厚/三维形貌测量,SAM 比例 27.2%

中科飞测成立于 2014 年,自成立以来始终专注于检测和量测两大类集成电路专用设备的研 发、生产和销售,产品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系 列、三维形貌量测设备系列、薄膜膜厚量测设备系列等,已应用于国内 28nm 及以上制程的 集成电路制造产线。

2018 年以来各产线放量,驱动收入规模持续增长。随着 2017 年公司无图形晶圆缺陷检测设 备通过中芯国际产线验证、三维形貌量测设备通过长电先进产线验证,2018 年晶圆图形缺 陷检测设备通过长电先进产线验证,2019 年三维形貌设备再次通过长江存储验证,中科飞 测主要产品线进入逐步放量阶段,收入规模持续增长,2018-2022 年中科飞测分别实现营收 0.3/0.6/2.4/3.6/5.1 亿元。2022 年营收结构中,50.1%来自无图形缺陷检测设备,25.5% 来自图形缺陷检测设备,23.1%来自量测设备。 成长期高研发投入,新布局纳米图形缺陷检测/金属膜厚测量/OCD 设备。利润端,由于中科 飞测仍处于成长期,收入规模较小但研发需求大,因此仍处于亏损阶段,2022 年扣非后归 母净利润亏损 0.9 亿元;而 2018-2022 年公司研发支出金额分别为 0.35/0.56/0.46/0.95/2.06 亿元。未来,公司将持续加强研发投入,除了在已有产品线的 基础上进一步提升设备工艺能力,还将布局开发纳米图形缺陷检测设备、金属薄膜量测设 备、图形晶圆光学关键尺寸测量设备。

2022 年前五大客户中芯国际/士兰集科/长江存储/青岛芯恩/浙江创芯,合计占比 33.3%。 随着各产线设备陆续在各客户端验证通过,公司前五大客户占比逐年下降。2022 年,公司 与前五大客户中芯国际/士兰集科/长江存储/青岛芯恩/浙江创芯分别实现销售收入 4160/3880/3212/2937/2751 万元,分别占营收比例为 8.2%/7.6%/6.3%/5.8%/5.4%,合计营 收占比 33.3%,相较 2020/2021 年的 51.2%/44.3%大幅下降。

5.2.上海精测:布局明场检测/Review-SEM/CD-SEM/OCD/膜厚,SAM 比例 51.5%

布局设备 SAM 比例 51.5%,为国产厂商最广。上海精测成立于 2018 年,为精测电子控股子 公司,目前已成功开发膜厚量测、关键尺寸测量(OCD)、电子束缺陷复检(Review-SEM)、 电子束关键尺寸测量(CD-SEM)、形貌测量以及明场缺陷检测设备,布局产品合计 SAM(可 服务市场)比例51.5%。目前,公司膜厚产品(含独立式膜厚设备)、电子束设备已取得 国内一线客户的批量订单;OCD 设备获得多家一线客户的验证通过,且已取得部分订单;半 导体硅片应力测量设备也取得客户订单并完成交付;明场光学缺陷检测设备已取得突破性 订单,且完成首台套交付;其余储备的产品目前正处于研发、认证以及拓展的过程中。

精测电子半导体设备在手订单 8.91 亿元,实现大幅增长。2019-2022 年,上海精测分别实 现营收 0.04/0.57/1.11/1.65 亿元,依据处于早期成长期。根据母公司精测电子披露的信 息,截至其 2022 年度年报披露日(2023 年 4 月 23 日),精测电子在手订单金额总计约 27.97 亿元,其中半导体领域订单约 8.91 亿元(包括上海精测前道量检测设备订单和武汉 精密鸿存储自动测试设备订单),实现大幅增长。预计未来随着各产品线在不同客户处获得 批量及重复订单,公司收入规模将实现持续增长。

5.3.睿励仪器:布局膜厚/OCD/图形缺陷检测,SAM 比例 20%

睿励仪器是归国留学生团队在上海市政府支持下成立,位于上海浦东新区张江高科技园区 的集成电路装备制造企业。目前,上海睿励已成功开发光学膜厚测量设备、光学关键尺寸 测量设备以及光学缺陷检测设备,合计 SAM 比例20%。产品进展上,其膜厚系列产品 TFX3000 已应用在 65/55/40/28 纳米芯片生产线并在进行了 14 纳米工艺验证,在 3D 存储芯 片产线支持 64 层 3D NAND 芯片的生产,并正在验证 96 层 3D NAND 芯片的测量性能。2022 年,睿励仪器全年实现营收 7186 万元。

5.4.东方晶源:布局电子束量检测设备,SAM 比例 13.9%

东方晶源成立于 2014 年,总部位于北京经济技术开发区,是一家专注于集成电路领域良率 管理的企业。截止目前,公司已成功自主研发了计算光刻软件(OPC)、纳米级电子束检测 装备(EBI)和关键尺寸量测装备(CD-SEM)三款核心产品,EBI 和 CD-SEM 设备合计 SAM 比 例达到 13.9%。2019 年,公司首台电子束缺陷检测设备 EBI出机国内一线大厂,并于 2021 年 6 月完全进入 28nm 产线全自动量产;此外,首台 12 吋和 8 吋 CD-SEM 设备分别于 2021 年下半年和 2022 年上半年出机到客户端。

6.投资分析

精测电子:77.3%控股上海精测,覆盖产品 SAM 比例 51.5%,国产量产覆盖相对最广

精测电子主业为显示面板测试设备,2022 年营收 21.7 亿元,营收占比 79%,目前需要要 来自已有产线升级改造,未来随着新型显示 Mini/Micro 市场的产业化推进,平板显示测试 设备行业将迎来新一轮发展机遇。半导体板块,公司 77.3%控股上海精测,目前上海精测已 成功开发膜厚/OCD/Review-SEM/CD-SEM/明场缺陷检测设备,合计 SAM 占半导体工艺控制设 备市场比例 51.5%,国产布局最广;65%控股武汉精鸿,聚焦存储芯片后道自动测试(ATE) 设备。2022 年精测电子半导体板块营收 1.83 亿元,截至其年报披露日(2023 年 4 月 23 日) 半导体在手订单 8.91 亿元,订单销售比接近 4.9 倍,公司多条产品线均进入放量期,预计 将驱动公司半导体业务持续增长。

中科飞测:覆盖产品 SAM 比例 27.2%,2022 年营收 5.1 亿元,国产放量相对最快

公司成立于 2014 年,目前已成功开发无图形晶圆缺陷检测/图形晶圆缺陷检测/三维形貌量 测/薄膜膜厚量测设备,覆盖产品 SAM 比例 27.2%。2022 年公司营收 5.1 亿元,相较上海精 测/睿励仪器 2022 年营收 1.65/0.72 亿元,放量相对最快;营收结构上,其 50.1%来自无图 形缺陷检测设备,25.5%来自图形缺陷检测设备,23.1%来自量测设备。此外,公司正进一步布局开发纳米图形缺陷检测/金属薄膜量测/图形晶圆光学关键尺寸测量设备,合计布局 SAM 比例 62.6%,为后续长期成长提供强有力支撑。

中微公司:34.75%持股睿励仪器,投资布局前道量检测设备,再增新成长曲线

中微公司 34.75%持股睿励仪器,目前,上海睿励已成功开发光学膜厚/光学关键尺寸测量/ 光学缺陷检测设备,合计 SAM 比例 20%。产品进展上,其膜厚系列产品 TFX3000 已应用在 65/55/40/28 纳米芯片生产线并在进行了 14 纳米工艺验证,在 3D 存储芯片产线支持 64 层 3D NAND 芯片的生产,并正在验证 96 层 3D NAND 芯片的测量性能。2022 年,睿励仪器全年 实现营收 7186 万元。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。

举报/反馈

未来智库

18.3万获赞 15.1万粉丝
未来智库,智造未来!
关注
0
0
收藏
分享